william@xubuntu-dtrain:~/Projects/FPGA/f4pga/f4pga-arch-defs$ conda env update --name f4pga_arch_def_base --file ./environment.yml Collecting package metadata (repodata.json): done Solving environment: done ==> WARNING: A newer version of conda exists. <== current version: 4.11.0 latest version: 4.14.0 Please update conda by running $ conda update -n base -c defaults conda Downloading and Extracting Packages openssl-1.1.1q | 2.5 MB | ############################################################################################ | 100% prjxray-tools-0.1_30 | 1.1 MB | ############################################################################################ | 100% ca-certificates-2022 | 124 KB | ############################################################################################ | 100% vtr-optimized-8.0.0_ | 66.9 MB | ############################################################################################ | 100% surelog-0.0_5186_g6f | 16.7 MB | ############################################################################################ | 100% yosys-0.20_71_g6e907 | 10.7 MB | ############################################################################################ | 100% symbiflow-yosys-plug | 27.3 MB | ############################################################################################ | 100% cython-0.29.30 | 1.9 MB | ############################################################################################ | 100% prjxray-db-0.0_257_g | 9.8 MB | ############################################################################################ | 100% Preparing transaction: done Verifying transaction: done Executing transaction: done Installing pip dependencies: \ Ran pip subprocess with arguments: ['/home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/env/conda/envs/f4pga_arch_def_base/bin/python', '-m', 'pip', 'install', '-U', '-r', '/home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.yzb_4w0e.requirements.txt'] Pip subprocess output: Collecting https://github.com/chipsalliance/f4pga/archive/main.zip#subdirectory=f4pga (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 23)) Using cached https://github.com/chipsalliance/f4pga/archive/main.zip (428 kB) Installing build dependencies: started Installing build dependencies: finished with status 'done' Getting requirements to build wheel: started Getting requirements to build wheel: finished with status 'done' Preparing wheel metadata: started Preparing wheel metadata: finished with status 'done' Collecting https://github.com/QuickLogic-Corp/quicklogic-fasm/archive/aaf4c314a165b6185b0983019d8aae4d0d4db6cb.zip#quicklogic_fasm (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 25)) Using cached https://github.com/QuickLogic-Corp/quicklogic-fasm/archive/aaf4c314a165b6185b0983019d8aae4d0d4db6cb.zip Collecting https://github.com/chipsalliance/python-fpga-interchange/archive/master.zip#python-fpga-interchange (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 26)) Using cached https://github.com/chipsalliance/python-fpga-interchange/archive/master.zip Collecting rr-graph Cloning https://github.com/chipsalliance/f4pga-rr-graph.git to /tmp/pip-install-u28y9qhr/rr-graph_5443e64839484395a67a8c6c58a5f58f Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/prjxray (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 28)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/xc-fasm (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 29)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/qlf-fasm (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 30)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/python-sdf-timing (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 31)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/f4pga-v2x (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 32)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/vtr-xml-utils (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 33)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/f4pga-xc-fasm2bels (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 34)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/litex (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 35)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/litex-boards (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 36)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/litedram (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 37)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/liteeth (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 38)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/liteiclink (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 39)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/migen (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 40)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/quicklogic/common/utils/quicklogic-timings-importer (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 41)) Processing ./third_party/pythondata-cpu-vexriscv Processing ./third_party/pythondata-software-compiler_rt Collecting https://github.com/lowRISC/edalize/archive/ot.zip#edalize (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 46)) Using cached https://github.com/lowRISC/edalize/archive/ot.zip Collecting git+https://github.com/lowRISC/fusesoc.git@ot (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) Cloning https://github.com/lowRISC/fusesoc.git (to revision ot) to /tmp/pip-req-build-9k33zh_x Requirement already satisfied: cairosvg in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 1)) (2.5.2) Requirement already satisfied: gitpython in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 2)) (3.1.27) Requirement already satisfied: hilbertcurve==1.0.5 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 3)) (1.0.5) Requirement already satisfied: intervaltree in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 4)) (3.1.0) Requirement already satisfied: matplotlib in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 5)) (3.5.2) Collecting matplotlib Downloading matplotlib-3.5.3-cp37-cp37m-manylinux_2_5_x86_64.manylinux1_x86_64.whl (11.2 MB) Requirement already satisfied: numpy in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 6)) (1.21.6) Requirement already satisfied: pdfminer.six in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 7)) (20220524) Requirement already satisfied: ply in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 8)) (3.11) Requirement already satisfied: progressbar2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 9)) (4.0.0) Requirement already satisfied: pycapnp in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 10)) (1.1.0) Collecting pycapnp Downloading pycapnp-1.2.0-cp37-cp37m-manylinux_2_12_x86_64.manylinux2010_x86_64.whl (4.3 MB) Requirement already satisfied: pyjson5 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 11)) (1.6.1) Requirement already satisfied: pytest in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 12)) (7.1.2) Collecting pytest Downloading pytest-7.1.3-py3-none-any.whl (298 kB) Requirement already satisfied: python-constraint in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 13)) (1.4.0) Requirement already satisfied: pyyaml in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 14)) (6.0) Requirement already satisfied: scipy in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 15)) (1.7.3) Requirement already satisfied: simplejson in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 16)) (3.17.6) Requirement already satisfied: svgwrite in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 17)) (1.4.2) Collecting svgwrite Downloading svgwrite-1.4.3-py3-none-any.whl (67 kB) Requirement already satisfied: termcolor in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 18)) (1.1.0) Requirement already satisfied: textx in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 19)) (3.0.0) Requirement already satisfied: tinyfpgab in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 20)) (1.1.0) Requirement already satisfied: tinyprog in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 21)) (1.0.21) Requirement already satisfied: yapf==0.26.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 22)) (0.26.0) Requirement already satisfied: fasm in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 24)) (0.0.2.post88) Requirement already satisfied: mako in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 48)) (1.2.1) Collecting mako Downloading Mako-1.2.2-py3-none-any.whl (78 kB) Requirement already satisfied: Jinja2>=2.11.3 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from edalize==0.3.3->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 46)) (3.1.2) Requirement already satisfied: colorama in /home/william/.local/lib/python3.7/site-packages/colorama-0.4.4-py3.7.egg (from f4pga==0.0.0+2829f61e->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 23)) (0.4.4) Requirement already satisfied: parameterized in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from fasm2bels==0.0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 34)) (0.8.1) Requirement already satisfied: lxml in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from fasm2bels==0.0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 34)) (4.9.1) Requirement already satisfied: pyparsing in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from fusesoc==0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) (3.0.4) Requirement already satisfied: simplesat>=0.8.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from fusesoc==0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) (0.8.2) Requirement already satisfied: pyserial in /home/william/.local/lib/python3.7/site-packages/pyserial-3.5-py3.7.egg (from litex==0.0.0->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 35)) (3.5) Requirement already satisfied: requests in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from litex==0.0.0->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 35)) (2.28.1) Requirement already satisfied: python-sat in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from python-fpga-interchange==0.0.18->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 26)) (0.1.7.dev18) Collecting fasm-utils@ git+https://github.com/QuickLogic-Corp/quicklogic-fasm-utils Cloning https://github.com/QuickLogic-Corp/quicklogic-fasm-utils to /tmp/pip-install-u28y9qhr/fasm-utils_6902e38fdee440d9b1abfd8692d12fd5 Requirement already satisfied: pyjson in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from sdf-timing==0.0.post122->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 31)) (1.3.0) Requirement already satisfied: MarkupSafe>=2.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from Jinja2>=2.11.3->edalize==0.3.3->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 46)) (2.1.1) Requirement already satisfied: six>=1.10.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from simplesat>=0.8.0->fusesoc==0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) (1.16.0) Requirement already satisfied: okonomiyaki>=0.16.6 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from simplesat>=0.8.0->fusesoc==0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) (1.3.2) Requirement already satisfied: attrs>=17.4.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from simplesat>=0.8.0->fusesoc==0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) (21.4.0) Requirement already satisfied: jsonschema>=2.5.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from okonomiyaki>=0.16.6->simplesat>=0.8.0->fusesoc==0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) (4.6.1) Requirement already satisfied: zipfile2>=0.0.12 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from okonomiyaki>=0.16.6->simplesat>=0.8.0->fusesoc==0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) (0.0.12) Requirement already satisfied: typing-extensions in /home/william/.local/lib/python3.7/site-packages (from jsonschema>=2.5.1->okonomiyaki>=0.16.6->simplesat>=0.8.0->fusesoc==0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) (3.7.4.3) Requirement already satisfied: pyrsistent!=0.17.0,!=0.17.1,!=0.17.2,>=0.14.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from jsonschema>=2.5.1->okonomiyaki>=0.16.6->simplesat>=0.8.0->fusesoc==0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) (0.18.1) Requirement already satisfied: importlib-resources>=1.4.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from jsonschema>=2.5.1->okonomiyaki>=0.16.6->simplesat>=0.8.0->fusesoc==0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) (5.8.0) Requirement already satisfied: importlib-metadata in /home/william/.local/lib/python3.7/site-packages (from jsonschema>=2.5.1->okonomiyaki>=0.16.6->simplesat>=0.8.0->fusesoc==0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) (3.4.0) Requirement already satisfied: zipp>=3.1.0 in /home/william/.local/lib/python3.7/site-packages (from importlib-resources>=1.4.0->jsonschema>=2.5.1->okonomiyaki>=0.16.6->simplesat>=0.8.0->fusesoc==0.1->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 47)) (3.4.0) Requirement already satisfied: cssselect2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from cairosvg->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 1)) (0.6.0) Requirement already satisfied: tinycss2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from cairosvg->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 1)) (1.1.1) Requirement already satisfied: defusedxml in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from cairosvg->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 1)) (0.7.1) Requirement already satisfied: pillow in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from cairosvg->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 1)) (9.2.0) Requirement already satisfied: cairocffi in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from cairosvg->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 1)) (1.3.0) Requirement already satisfied: gitdb<5,>=4.0.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from gitpython->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 2)) (4.0.9) Requirement already satisfied: smmap<6,>=3.0.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from gitdb<5,>=4.0.1->gitpython->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 2)) (5.0.0) Requirement already satisfied: sortedcontainers<3.0,>=2.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from intervaltree->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 4)) (2.4.0) Requirement already satisfied: fonttools>=4.22.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from matplotlib->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 5)) (4.33.3) Requirement already satisfied: kiwisolver>=1.0.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from matplotlib->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 5)) (1.4.3) Requirement already satisfied: cycler>=0.10 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from matplotlib->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 5)) (0.11.0) Requirement already satisfied: python-dateutil>=2.7 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from matplotlib->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 5)) (2.8.2) Requirement already satisfied: packaging>=20.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from matplotlib->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 5)) (21.3) Requirement already satisfied: cryptography>=36.0.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from pdfminer.six->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 7)) (37.0.2) Requirement already satisfied: charset-normalizer>=2.0.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from pdfminer.six->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 7)) (2.1.0) Requirement already satisfied: cffi>=1.12 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from cryptography>=36.0.0->pdfminer.six->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 7)) (1.15.1) Requirement already satisfied: pycparser in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from cffi>=1.12->cryptography>=36.0.0->pdfminer.six->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 7)) (2.21) Requirement already satisfied: python-utils>=3.0.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from progressbar2->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 9)) (3.3.3) Requirement already satisfied: iniconfig in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from pytest->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 12)) (1.1.1) Requirement already satisfied: py>=1.8.2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from pytest->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 12)) (1.11.0) Requirement already satisfied: tomli>=1.0.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from pytest->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 12)) (2.0.1) Requirement already satisfied: pluggy<2.0,>=0.12 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from pytest->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 12)) (1.0.0) Requirement already satisfied: Arpeggio>=2.0.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from textx->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 19)) (2.0.0) Requirement already satisfied: future in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from textx->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 19)) (0.18.2) Requirement already satisfied: tqdm<5,>=4.19.5 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from tinyprog->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 21)) (4.64.0) Requirement already satisfied: pyusb in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from tinyprog->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 21)) (1.2.1) Requirement already satisfied: intelhex<3,>=2.2.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from tinyprog->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 21)) (2.3.0) Requirement already satisfied: jsonmerge<2,>=1.4.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from tinyprog->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 21)) (1.8.0) Requirement already satisfied: webencodings in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from cssselect2->cairosvg->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 1)) (0.5.1) Requirement already satisfied: certifi>=2017.4.17 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from requests->litex==0.0.0->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 35)) (2022.6.15) Requirement already satisfied: urllib3<1.27,>=1.21.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from requests->litex==0.0.0->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 35)) (1.26.9) Requirement already satisfied: idna<4,>=2.5 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from requests->litex==0.0.0->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/requirements.txt (line 35)) (3.3) Building wheels for collected packages: f4pga, fusesoc, pythondata-cpu-vexriscv, pythondata-software-compiler-rt Building wheel for f4pga (PEP 517): started Building wheel for f4pga (PEP 517): finished with status 'done' Created wheel for f4pga: filename=f4pga-0.0.0+2829f61e-py3-none-any.whl size=103034 sha256=6d5fceb1ba90822de4bd08ea5f4a0cbe54f4c7e3c3f4035458dc4c031b48c604 Stored in directory: /tmp/pip-ephem-wheel-cache-8mb03_fn/wheels/22/76/b2/2e05e6145754ccc55ddcd981b222dea505eb2bf8ab11a4c824 Building wheel for fusesoc (setup.py): started Building wheel for fusesoc (setup.py): finished with status 'done' Created wheel for fusesoc: filename=fusesoc-0.1-py3-none-any.whl size=49957 sha256=0a7e2e82482430dfd06b8b3e0b101ddb16b8cfa0771fc0ab5de0fc58c3d5928b Stored in directory: /tmp/pip-ephem-wheel-cache-8mb03_fn/wheels/67/81/11/e24f2ee1b0aa7790249073e32c0810dc6d263cf8aed3e3fe08 Building wheel for pythondata-cpu-vexriscv (setup.py): started Building wheel for pythondata-cpu-vexriscv (setup.py): finished with status 'done' Created wheel for pythondata-cpu-vexriscv: filename=pythondata_cpu_vexriscv-1.0.1.post407-py3-none-any.whl size=20550421 sha256=87a7cecae9331f1a8431eaf74dcd5771db9fd599b978cbd10cfec0592869de45 Stored in directory: /home/william/.cache/pip/wheels/bf/ea/2c/222f2c237129e37fcd38dffe09be039a3d87974ddb4c506254 Building wheel for pythondata-software-compiler-rt (setup.py): started Building wheel for pythondata-software-compiler-rt (setup.py): finished with status 'done' Created wheel for pythondata-software-compiler-rt: filename=pythondata_software_compiler_rt-0.0.post6206-py3-none-any.whl size=3224797 sha256=c7d838f71a39883f5f71679f69032da13907d0e51cb410a0ea795dca3d4f0c99 Stored in directory: /home/william/.cache/pip/wheels/b7/57/a5/0fbebf3a668c6adb87cd1d75a0fec848a86066b5a0d2d37087 Successfully built f4pga fusesoc pythondata-cpu-vexriscv pythondata-software-compiler-rt Installing collected packages: vtr-xml-utils, pythondata-software-compiler-rt, prjxray, migen, xc-fasm, v2x, svgwrite, sdf-timing, quicklogic-timings-importer, qlf-fasm, pythondata-cpu-vexriscv, pytest, matplotlib, mako, litex-boards, litex, liteiclink, liteeth, litedram, fusesoc, fasm2bels, f4pga Attempting uninstall: vtr-xml-utils Found existing installation: vtr-xml-utils 0.0.1 Uninstalling vtr-xml-utils-0.0.1: Successfully uninstalled vtr-xml-utils-0.0.1 Running setup.py develop for vtr-xml-utils Attempting uninstall: pythondata-software-compiler-rt Found existing installation: pythondata-software-compiler-rt 0.0.post6206 Uninstalling pythondata-software-compiler-rt-0.0.post6206: Successfully uninstalled pythondata-software-compiler-rt-0.0.post6206 Attempting uninstall: prjxray Found existing installation: prjxray 0.0.1 Uninstalling prjxray-0.0.1: Successfully uninstalled prjxray-0.0.1 Running setup.py develop for prjxray Attempting uninstall: migen Found existing installation: migen 0.9.2 Uninstalling migen-0.9.2: Successfully uninstalled migen-0.9.2 Running setup.py develop for migen Attempting uninstall: xc-fasm Found existing installation: xc-fasm 0.0.1 Uninstalling xc-fasm-0.0.1: Successfully uninstalled xc-fasm-0.0.1 Running setup.py develop for xc-fasm Attempting uninstall: v2x Found existing installation: v2x 0.0.1 Uninstalling v2x-0.0.1: Successfully uninstalled v2x-0.0.1 Running setup.py develop for v2x Attempting uninstall: svgwrite Found existing installation: svgwrite 1.4.2 Uninstalling svgwrite-1.4.2: Successfully uninstalled svgwrite-1.4.2 Attempting uninstall: sdf-timing Found existing installation: sdf-timing 0.0.post122 Uninstalling sdf-timing-0.0.post122: Successfully uninstalled sdf-timing-0.0.post122 Running setup.py develop for sdf-timing Attempting uninstall: quicklogic-timings-importer Found existing installation: quicklogic-timings-importer 0.0.1 Uninstalling quicklogic-timings-importer-0.0.1: Successfully uninstalled quicklogic-timings-importer-0.0.1 Running setup.py develop for quicklogic-timings-importer Attempting uninstall: qlf-fasm Found existing installation: qlf-fasm 0.0.1 Uninstalling qlf-fasm-0.0.1: Successfully uninstalled qlf-fasm-0.0.1 Running setup.py develop for qlf-fasm Attempting uninstall: pythondata-cpu-vexriscv Found existing installation: pythondata-cpu-vexriscv 1.0.1.post407 Uninstalling pythondata-cpu-vexriscv-1.0.1.post407: Successfully uninstalled pythondata-cpu-vexriscv-1.0.1.post407 Attempting uninstall: pytest Found existing installation: pytest 7.1.2 Uninstalling pytest-7.1.2: Successfully uninstalled pytest-7.1.2 Attempting uninstall: matplotlib Found existing installation: matplotlib 3.5.2 Uninstalling matplotlib-3.5.2: Successfully uninstalled matplotlib-3.5.2 Attempting uninstall: mako Found existing installation: Mako 1.2.1 Uninstalling Mako-1.2.1: Successfully uninstalled Mako-1.2.1 Attempting uninstall: litex-boards Found existing installation: litex-boards 0.0.0 Uninstalling litex-boards-0.0.0: Successfully uninstalled litex-boards-0.0.0 Running setup.py develop for litex-boards Attempting uninstall: litex Found existing installation: litex 0.0.0 Uninstalling litex-0.0.0: Successfully uninstalled litex-0.0.0 Running setup.py develop for litex Attempting uninstall: liteiclink Found existing installation: liteiclink 0.0.0 Uninstalling liteiclink-0.0.0: Successfully uninstalled liteiclink-0.0.0 Running setup.py develop for liteiclink Attempting uninstall: liteeth Found existing installation: liteeth 0.0.0 Uninstalling liteeth-0.0.0: Successfully uninstalled liteeth-0.0.0 Running setup.py develop for liteeth Attempting uninstall: litedram Found existing installation: litedram 0.0.0 Uninstalling litedram-0.0.0: Successfully uninstalled litedram-0.0.0 Running setup.py develop for litedram Attempting uninstall: fusesoc Found existing installation: fusesoc 1.12.1.dev31+g14dfc82 Uninstalling fusesoc-1.12.1.dev31+g14dfc82: Successfully uninstalled fusesoc-1.12.1.dev31+g14dfc82 Attempting uninstall: fasm2bels Found existing installation: fasm2bels 0.0.1 Uninstalling fasm2bels-0.0.1: Successfully uninstalled fasm2bels-0.0.1 Running setup.py develop for fasm2bels Attempting uninstall: f4pga Found existing installation: f4pga 0.0.0+2d8d8570 Uninstalling f4pga-0.0.0+2d8d8570: Successfully uninstalled f4pga-0.0.0+2d8d8570 Successfully installed f4pga-0.0.0+2829f61e fasm2bels fusesoc-0.1 litedram liteeth liteiclink litex litex-boards mako-1.2.2 matplotlib-3.5.3 migen prjxray pytest-7.1.3 pythondata-cpu-vexriscv-1.0.1.post407 pythondata-software-compiler-rt-0.0.post6206 qlf-fasm quicklogic-timings-importer sdf-timing svgwrite-1.4.3 v2x vtr-xml-utils xc-fasm done # # To activate this environment, use # # $ conda activate f4pga_arch_def_base # # To deactivate an active environment, use # # $ conda deactivate