william@xubuntu-dtrain:~/Projects/FPGA/f4pga/f4pga-arch-defs$ conda env update --name f4pga_arch_def_base --file ./conda_lock.yml Collecting package metadata (repodata.json): done Solving environment: done ==> WARNING: A newer version of conda exists. <== current version: 4.11.0 latest version: 4.14.0 Please update conda by running $ conda update -n base -c defaults conda Installing pip dependencies: / Ran pip subprocess with arguments: ['/home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/env/conda/envs/f4pga_arch_def_base/bin/python', '-m', 'pip', 'install', '-U', '-r', '/home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt'] Pip subprocess output: Collecting edalize@ https://github.com/lowRISC/edalize/archive/ot.zip Using cached https://github.com/lowRISC/edalize/archive/ot.zip Collecting f4pga@ https://github.com/chipsalliance/f4pga/archive/main.zip#subdirectory=f4pga Downloading https://github.com/chipsalliance/f4pga/archive/main.zip (428 kB) Installing build dependencies: started Installing build dependencies: finished with status 'done' Getting requirements to build wheel: started Getting requirements to build wheel: finished with status 'done' Preparing wheel metadata: started Preparing wheel metadata: finished with status 'done' Collecting fasm-utils@ git+https://github.com/QuickLogic-Corp/quicklogic-fasm-utils@3d6a375ddb6b55aaa5a59d99e44a207d4c18709f Using cached fasm_utils-0.0.1-py3-none-any.whl Collecting fusesoc@ git+https://github.com/lowRISC/fusesoc.git@14dfc825ced58fe1fb343662fa80fc4fbd0fdc50 Using cached fusesoc-1.12.1.dev31+g14dfc82-py3-none-any.whl Collecting python-fpga-interchange@ https://github.com/chipsalliance/python-fpga-interchange/archive/master.zip Using cached https://github.com/chipsalliance/python-fpga-interchange/archive/master.zip Collecting quicklogic-fasm@ https://github.com/antmicro/quicklogic-fasm/archive/607849ec0bdef8740be33dbaa49d15a3d400f809.zip Using cached https://github.com/antmicro/quicklogic-fasm/archive/607849ec0bdef8740be33dbaa49d15a3d400f809.zip Collecting rr-graph@ git+https://github.com/chipsalliance/f4pga-rr-graph.git@b8f0ebb6fd9842ae8bb7ff51a0adc5f76a853802 Using cached rr_graph-0.0.1.post25-py3-none-any.whl Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/prjxray (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 79)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/xc-fasm (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 80)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/qlf-fasm (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 81)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/python-sdf-timing (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 82)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/f4pga-v2x (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 83)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/vtr-xml-utils (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 84)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/f4pga-xc-fasm2bels (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 85)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/litex (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 86)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/litex-boards (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 87)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/litedram (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 88)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/liteeth (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 89)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/liteiclink (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 90)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/third_party/migen (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 91)) Obtaining file:///home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/quicklogic/common/utils/quicklogic-timings-importer (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 92)) Processing ./third_party/pythondata-cpu-vexriscv Processing ./third_party/pythondata-software-compiler_rt Requirement already satisfied: Arpeggio==2.0.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 1)) (2.0.0) Requirement already satisfied: attrs==21.4.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 2)) (21.4.0) Requirement already satisfied: cairocffi==1.3.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 3)) (1.3.0) Requirement already satisfied: CairoSVG==2.5.2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 4)) (2.5.2) Requirement already satisfied: cffi==1.15.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 5)) (1.15.1) Requirement already satisfied: charset-normalizer==2.1.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 6)) (2.1.0) Collecting colorama==0.4.5 Using cached colorama-0.4.5-py2.py3-none-any.whl (16 kB) Requirement already satisfied: cryptography==37.0.2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 8)) (37.0.2) Requirement already satisfied: cssselect2==0.6.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 9)) (0.6.0) Requirement already satisfied: cycler==0.11.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 10)) (0.11.0) Requirement already satisfied: defusedxml==0.7.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 11)) (0.7.1) Requirement already satisfied: fasm==0.0.2.post88 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 14)) (0.0.2.post88) Requirement already satisfied: fonttools==4.33.3 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 16)) (4.33.3) Requirement already satisfied: future==0.18.2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 18)) (0.18.2) Requirement already satisfied: gitdb==4.0.9 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 19)) (4.0.9) Requirement already satisfied: GitPython==3.1.27 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 20)) (3.1.27) Requirement already satisfied: hilbertcurve==1.0.5 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 21)) (1.0.5) Requirement already satisfied: idna==3.3 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 22)) (3.3) Requirement already satisfied: importlib-resources==5.8.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 23)) (5.8.0) Requirement already satisfied: iniconfig==1.1.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 24)) (1.1.1) Requirement already satisfied: intelhex==2.3.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 25)) (2.3.0) Requirement already satisfied: intervaltree==3.1.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 26)) (3.1.0) Requirement already satisfied: Jinja2==3.1.2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 27)) (3.1.2) Requirement already satisfied: jsonmerge==1.8.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 28)) (1.8.0) Requirement already satisfied: jsonschema==4.6.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 29)) (4.6.1) Requirement already satisfied: kiwisolver==1.4.3 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 30)) (1.4.3) Requirement already satisfied: lxml==4.9.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 31)) (4.9.1) Requirement already satisfied: Mako==1.2.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 32)) (1.2.1) Requirement already satisfied: MarkupSafe==2.1.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 33)) (2.1.1) Requirement already satisfied: matplotlib==3.5.2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 34)) (3.5.2) Requirement already satisfied: numpy==1.21.6 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 35)) (1.21.6) Requirement already satisfied: okonomiyaki==1.3.2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 36)) (1.3.2) Requirement already satisfied: parameterized==0.8.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 37)) (0.8.1) Requirement already satisfied: pdfminer.six==20220524 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 38)) (20220524) Requirement already satisfied: Pillow==9.2.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 39)) (9.2.0) Requirement already satisfied: pluggy==1.0.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 40)) (1.0.0) Requirement already satisfied: ply==3.11 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 41)) (3.11) Requirement already satisfied: progressbar2==4.0.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 42)) (4.0.0) Requirement already satisfied: py==1.11.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 43)) (1.11.0) Requirement already satisfied: pycapnp==1.1.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 44)) (1.1.0) Requirement already satisfied: pycparser==2.21 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 45)) (2.21) Requirement already satisfied: pyjson==1.3.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 46)) (1.3.0) Requirement already satisfied: pyjson5==1.6.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 47)) (1.6.1) Requirement already satisfied: pyrsistent==0.18.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 48)) (0.18.1) Requirement already satisfied: pyserial==3.5 in /home/william/.local/lib/python3.7/site-packages/pyserial-3.5-py3.7.egg (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 49)) (3.5) Requirement already satisfied: pytest==7.1.2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 50)) (7.1.2) Requirement already satisfied: python-constraint==1.4.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 51)) (1.4.0) Requirement already satisfied: python-dateutil==2.8.2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 52)) (2.8.2) Requirement already satisfied: python-sat==0.1.7.dev18 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 54)) (0.1.7.dev18) Requirement already satisfied: python-utils==3.3.3 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 55)) (3.3.3) Requirement already satisfied: pyusb==1.2.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 56)) (1.2.1) Requirement already satisfied: PyYAML==6.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 57)) (6.0) Requirement already satisfied: requests==2.28.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 59)) (2.28.1) Requirement already satisfied: scipy==1.7.3 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 61)) (1.7.3) Requirement already satisfied: simplejson==3.17.6 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 62)) (3.17.6) Requirement already satisfied: simplesat==0.8.2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 63)) (0.8.2) Requirement already satisfied: six==1.16.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 64)) (1.16.0) Requirement already satisfied: smmap==5.0.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 65)) (5.0.0) Requirement already satisfied: sortedcontainers==2.4.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 66)) (2.4.0) Requirement already satisfied: svgwrite==1.4.2 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 67)) (1.4.2) Requirement already satisfied: termcolor==1.1.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 68)) (1.1.0) Requirement already satisfied: textX==3.0.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 69)) (3.0.0) Requirement already satisfied: tinycss2==1.1.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 70)) (1.1.1) Requirement already satisfied: tinyfpgab==1.1.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 71)) (1.1.0) Requirement already satisfied: tinyprog==1.0.21 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 72)) (1.0.21) Requirement already satisfied: tomli==2.0.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 73)) (2.0.1) Requirement already satisfied: tqdm==4.64.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 74)) (4.64.0) Requirement already satisfied: urllib3==1.26.9 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 75)) (1.26.9) Requirement already satisfied: webencodings==0.5.1 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 76)) (0.5.1) Requirement already satisfied: yapf==0.26.0 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 77)) (0.26.0) Requirement already satisfied: zipfile2==0.0.12 in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 78)) (0.0.12) Requirement already satisfied: pyparsing in ./env/conda/envs/f4pga_arch_def_base/lib/python3.7/site-packages (from fusesoc@ git+https://github.com/lowRISC/fusesoc.git@14dfc825ced58fe1fb343662fa80fc4fbd0fdc50->-r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 17)) (3.0.4) Collecting fasm-utils@ git+https://github.com/QuickLogic-Corp/quicklogic-fasm-utils Cloning https://github.com/QuickLogic-Corp/quicklogic-fasm-utils to /tmp/pip-install-uirwrgcg/fasm-utils_2640423b11c34a5e87ac65e5a8aaf249 INFO: pip is looking at multiple versions of qlf-fasm to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of pythondata-software-compiler-rt to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of pythondata-cpu-vexriscv to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of python-fpga-interchange to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of prjxray to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of migen to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of litex-boards to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of litex to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of liteiclink to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of liteeth to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of litedram to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of fusesoc to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of fasm2bels to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of fasm-utils to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of f4pga to determine which version is compatible with other requirements. This could take a while. INFO: pip is looking at multiple versions of edalize to determine which version is compatible with other requirements. This could take a while. The conflict is caused by: The user requested fasm-utils 0.0.1 (from /home/william/.cache/pip/wheels/13/1e/3a/3175d5fbf1ce244045cd7e6b3efcc0a9149a4e03322f9cf2ac/fasm_utils-0.0.1-py3-none-any.whl) quicklogic-fasm 0.0.1 depends on fasm-utils 0.0.1 (from git+https://github.com/QuickLogic-Corp/quicklogic-fasm-utils) To fix this you could try to: 1. loosen the range of package versions you've specified 2. remove package versions to allow pip attempt to solve the dependency conflict Pip subprocess error: Running command git clone -q https://github.com/QuickLogic-Corp/quicklogic-fasm-utils /tmp/pip-install-uirwrgcg/fasm-utils_2640423b11c34a5e87ac65e5a8aaf249 ERROR: Cannot install -r /home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/condaenv.eplx6wcg.requirements.txt (line 58) and fasm-utils 0.0.1 (from /home/william/.cache/pip/wheels/13/1e/3a/3175d5fbf1ce244045cd7e6b3efcc0a9149a4e03322f9cf2ac/fasm_utils-0.0.1-py3-none-any.whl) because these package versions have conflicting dependencies. ERROR: ResolutionImpossible: for help visit https://pip.pypa.io/en/latest/user_guide/#fixing-conflicting-dependencies WARNING: You are using pip version 21.0.1; however, version 22.2.2 is available. You should consider upgrading via the '/home/william/Projects/FPGA/symbiflow/symbiflow-arch-defs/env/conda/envs/f4pga_arch_def_base/bin/python -m pip install --upgrade pip' command. failed CondaEnvException: Pip failed